Online Public Access Catalogue

Correct Hardware Design and Verification Methods (Record no. 13949)

MARC details
020 ## -
-- 9783540320302
-- 978-3-540-32030-2
024 7# -
-- 10.1007/11560548
-- doi
050 #4 -
-- QA76.9.L63
050 #4 -
-- QA76.5913
050 #4 -
-- QA76.63
072 #7 -
-- UM
-- bicssc
072 #7 -
-- UYF
-- bicssc
072 #7 -
-- COM051000
-- bisacsh
072 #7 -
-- COM036000
-- bisacsh
082 04 -
-- 005.1015113
-- 23
100 1# -
-- Borrione, Dominique.
-- editor.
245 10 -
-- Correct Hardware Design and Verification Methods
-- [electronic resource] :
-- 13th IFIP WG 10.5 Advanced Research Working Conference, CHARME 2005, Saarbrücken, Germany, October 3-6, 2005. Proceedings /
-- edited by Dominique Borrione, Wolfgang Paul.
264 #1 -
-- Berlin, Heidelberg :
-- Springer Berlin Heidelberg,
-- 2005.
300 ## -
-- XII, 412 p. Also available online.
-- online resource.
336 ## -
-- text
-- txt
-- rdacontent
337 ## -
-- computer
-- c
-- rdamedia
338 ## -
-- online resource
-- cr
-- rdacarrier
347 ## -
-- text file
-- PDF
-- rda
490 1# -
-- Lecture Notes in Computer Science,
-- 0302-9743 ;
-- 3725
505 0# -
-- Invited Talks -- Is Formal Verification Bound to Remain a Junior Partner of Simulation? -- Verification Challenges in Configurable Processor Design with ASIP Meister -- Tutorial -- Towards the Pervasive Verification of Automotive Systems -- Functional Approaches to Design Description -- Wired: Wire-Aware Circuit Design -- Formalization of the DE2 Language -- Game Solving Approaches -- Finding and Fixing Faults -- Verifying Quantitative Properties Using Bound Functions -- Abstraction -- How Thorough Is Thorough Enough? -- Interleaved Invariant Checking with Dynamic Abstraction -- Automatic Formal Verification of Liveness for Pipelined Processors with Multicycle Functional Units -- Algorithms and Techniques for Speeding (DD-Based) Verification 1 -- Efficient Symbolic Simulation via Dynamic Scheduling, Don’t Caring, and Case Splitting -- Achieving Speedups in Distributed Symbolic Reachability Analysis Through Asynchronous Computation -- Saturation-Based Symbolic Reachability Analysis Using Conjunctive and Disjunctive Partitioning -- Real Time and LTL Model Checking -- Real-Time Model Checking Is Really Simple -- Temporal Modalities for Concisely Capturing Timing Diagrams -- Regular Vacuity -- Algorithms and Techniques for Speeding Verification 2 -- Automatic Generation of Hints for Symbolic Traversal -- Maximal Input Reduction of Sequential Netlists via Synergistic Reparameterization and Localization Strategies -- A New SAT-Based Algorithm for Symbolic Trajectory Evaluation -- Evaluation of SAT-Based Tools -- An Analysis of SAT-Based Model Checking Techniques in an Industrial Environment -- Model Reduction -- Exploiting Constraints in Transformation-Based Verification -- Identification and Counter Abstraction for Full Virtual Symmetry -- Verification of Memory Hierarchy Mechanisms -- On the Verification of Memory Management Mechanisms -- Counterexample Guided Invariant Discovery for Parameterized Cache Coherence Verification -- Short Papers -- Symbolic Partial Order Reduction for Rule Based Transition Systems -- Verifying Timing Behavior by Abstract Interpretation of Executable Code -- Behavior-RTL Equivalence Checking Based on Data Transfer Analysis with Virtual Controllers and Datapaths -- Deadlock Prevention in the Æthereal Protocol -- Acceleration of SAT-Based Iterative Property Checking -- Error Detection Using BMC in a Parallel Environment -- Formal Verification of Synchronizers -- A Parameterized Benchmark Suite of Hard Pipelined-Machine-Verification Problems -- Improvements to the Implementation of Interpolant-Based Model Checking -- High-Level Modelling, Analysis, and Verification on FPGA-Based Hardware Design -- Proving Parameterized Systems: The Use of Pseudo-Pipelines in Polyhedral Logic -- Resolving Quartz Overloading -- FPGA Based Accelerator for 3-SAT Conflict Analysis in SAT Solvers -- Predictive Reachability Using a Sample-Based Approach -- Minimizing Counterexample of ACTL Property -- Data Refinement for Synchronous System Specification and Construction -- Introducing Abstractions via Rewriting -- A Case Study: Formal Verification of Processor Critical Properties.
520 ## -
-- Thisvolumeconstitutestheproceedingsofthe2005 Advanced Research Working C- ference on Correct Hardware-like Design and Veri?cation Methods. CHARME 2005 washeldattheVictor’sResidenz-Hotel,Saarbruck ¨ en,Germany,3–6October2005. CHARME2005wasthethirteenthinaseriesofworkingconferencesdevotedtothe developmentandtheuseofleading-edgeformaltechniquesandtoolsforthespeci?- tion, design and veri?cationof hardwareand hardware-likesystems. Previousconf- encesundertheCHARMEnamehavebeenheldinTurin(1991),Arles(1993),Fra- furt (1995), Montreal (1997), Bad Herrenalb (1999), Edinburgh (2001) and L’Aquila (2003).Prioreventsintheserieswerestartedintheearlydaysofformalhardwarev- i?cation,and wereheld undervariousnamesin Darmstadt (1984),Edinburgh(1985), Grenoble(1986),Glasgow (1988),and Leuven(1989).It is nowwell established that CHARMEtakesplaceonodd-numberedyears,androtatesprimarilyinEurope.Itisthe biennialcounterpartofitssisterconferenceFMCAD,whichhastakenplaceeveryeven yearintheUSAsince1996. CHARME 2005 was sponsored by the IFIP TC10/WG10.5 Working Group on Design and Engineering of Electronic Systems and its Special Interest Group SIG- CHARME. It was organized by the Computer Science Department of Saarland University. Thisyear,twokindsofcontributionsweresolicited:(i)fullpapers,describingor- inalresearchwork,intendedforanoralplenarypresentation,(ii)shortpapers,descr- ingongoinglessmatureresearchworkintendedforpresentationaspostersorresearch prototypedemonstrations. Two very long sessions were allocated to poster and int- active presentations, with the aim of giving an emphasis on the “working” aspect of the working conference, where discussion of new or un?nished results and feedback are an essential aspect of the event.The community was extremely responsiveto this viewpoint: we received a total number of 79 submitted papers, out of which 21 long contributionsand 18 short contributionswere accepted for presentationat the conf- enceandinclusioninthisvolume.Allpapersreceivedaminimumofthreereviews. For the conferenceprogram outside the refereed talks we put emphasis on the - latedtopicsoftoolintegrationandpervasivesystemveri?cation.Thedayprecedingthe workingconferencefeaturedhands-ondemonstrationsfornumerousveri?cationtools; italsofeaturedatutorialonsystemveri?cationbymembersoftheVerisoftproject.The overall program of CHARME 2005 included an invited keynote address by Wolfram B¨ uttner on industrial processor veri?cation and a round table discussion about mixed techniquesforverylargehardware-softwaresystemsinitiatedwithaninvitedpresen- tionbyMasaharuImaiandAkiraKitajima. A quality conference such as CHARME results from the work of many people. We wish to thank the membersof the ProgramCommittee and the externalreviewers fortheirhardworkinevaluatingthesubmissionsandinselectinghighqualitypapers.
650 #0 -
-- Computer science.
650 #0 -
-- Computer hardware.
650 #0 -
-- Software engineering.
650 #0 -
-- Logic design.
650 #0 -
-- Artificial intelligence.
650 #0 -
-- Computer aided design.
650 14 -
-- Computer Science.
650 24 -
-- Logics and Meanings of Programs.
650 24 -
-- Computer Hardware.
650 24 -
-- Software Engineering.
650 24 -
-- Mathematical Logic and Formal Languages.
650 24 -
-- Artificial Intelligence (incl. Robotics).
650 24 -
-- Computer-Aided Engineering (CAD, CAE) and Design.
700 1# -
-- Paul, Wolfgang.
-- editor.
710 2# -
-- SpringerLink (Online service)
773 0# -
-- Springer eBooks
776 08 -
-- Printed edition:
-- 9783540291053
830 #0 -
-- Lecture Notes in Computer Science,
-- 0302-9743 ;
-- 3725
856 40 -
-- http://dx.doi.org/10.1007/11560548
912 ## -
-- ZDB-2-SCS
912 ## -
-- ZDB-2-LNC
950 ## -
-- Computer Science (Springer-11645)
999 ## -
-- 13949
-- 13949

No items available.